A system developer needs to provide machine-to-machine interface between an application and a database server in the production enviroment. This interface will exchange data once per day. Which of the following access controll account practices would BESt be used in this situation?

a. Establish a privileged interface group and apply read -write permission.to the members of that group.
b. Submit a request for account privilege escalation when the data needs to be transferred
c. Install the application and database on the same server and add the interface to the local administrator group.
d. Use a service account and prohibit users from accessing this account for development work

Answers

Answer 1

Answer:

The correct option is (d) Use a service account and prohibit users from accessing this account for development work

Explanation:

Solution

As regards to the above requirement where the application and database server in the production environment will need to exchange the data once ever day, the following access control account practices would be used in this situation:

By making use of a service account and forbids users from having this account for development work.

The service account can be useful to explicitly issue a security context for services and thus the service can also access the local and the other resources and also prohibiting the other users to access the account for the development work.

Submitting an adhoc request daily is not a choice as this is required daily. Also, the servers can be different and cannot be put in one place. and, we cannot make use of the read-write permission to the members of that group.


Related Questions

What is the output of the code below assuming that global variable x has value 2 and global y has value 3? def f1(): return "ab" def f2(): return f1() * x def f3(): return f2() + f1() * y print(f3())

Answers

Answer:

ababababab

Explanation:

The code above is written in python and python uses indentation .So let me rephrase the code accordingly and explain what the code really do.

Note x and y is a global variable which can be used by any of the function declared.  According to the question x and y are 2 and 3 respectively

The first block of code describes a function f1 without any argument but the code should return the string "ab"

def f1():

      return "ab"

The second block of code defines a function f2 and returns the value of f1 multiply by x. This means you are multiplying the string "ab" by 2 which will be equals to abab

def f2():

           return f1() * x

The third block of code declared a function f3 and returns the sum of  f2 and product of f1 and y. using PEMDAS principle the multiplication aspect will be solved first so, ab × 3 = ababab, then we add it to f2  . ababab + abab = ababababab.

def f3():

        return f2() + f1() * y

Finally, we print the function f3 value to get ababababab

print(f3())

If you run the code on your IDE like below you will get  ababababab

x = 2

y = 3

def f1():

      return "ab"  

def f2():

      return f1() * x  

def f3():  

      return f2() + f1() * y  

print(f3())

     

Following are the output to the given method:

Program Explanation:

Defining a global variable "x,y" that holds an integer value that are "2,3".Declaring three method, "f1, f2, and f3".In the "f1" method is declared that returns a string value that is "ab".In the "f2" method it calls the f1 method that multiply the value with x, which it prints f1 method value 2 times.In the "f3" method it calls the f2 method with f1 that multiply the value with y, that adds and prints f2 and f1 method that prints 5 times.

Program:

#declaring the global variable

x=2#defining x variable  that hold integer value  

y=3#defining y variable that hold integer value

def f1():#defining a method f1

   return "ab"#using return keyword that return string value

def f2():#defining a method f2

   return f1() * x#using return keyword that call f1 method

def f3():#defining a method f3

   return f2() + f1() * y#using return keyword that calls f2 method and call f1 method and multiple value by 3  

print(f3())#calling method f3

Output:

Please find the attached file.

Learn more:

brainly.com/question/12457150

if you want to exclude a portion of an image which option should be chosen?? A. Arrange B. Position C. Crop D. Delete

Answers

It would be C- crop. This allows you to specifically delete parts of the image using drag and drop features. Hope this helps!

DEF is a small consulting firm with ten on-site employees and 10 to 12 part-time (off-site) software consultants. Currently, the network consists of 2 servers for internal business processes, 1 server that handles the call-in connections; 10 on-site wireless workstations/devices, and 2 printers. Respond to the following in a minimum of 175 words: Identify one network security strategy that would help this organization. Why did you choose this strategy over others

Answers

Answer:

What i would suggest for the organization is to use the Testing Infrastructure strategy. it is good for your consulting firm because it is less costly and provide security.

Explanation:

Solution

There are different network security strategy which is listed below:

Segment the network:

Segmentation in network is very useful for providing security.If any threat is occur only one segment is effected remaining are safe and it is less cost process. very useful for small organizations.

Test your infrastructure :

Testing infrastructure also very good technique.

Always we have to test our infrastructure whether threat is occur or not.

If any threat is happen we can detect that easily by testing our infrastructure.

Regularly update anti-virus software :

This is very useful for small organizations and it is less cost.with less cost we can provide security for all devices like computer,server,modems etc.

Frequently backup your critical data :

This is very good technique for crucial data.If we backup important data any time that will be useful if any attack is happen.

This is less costs and simple.

Change router default security settings :

Changing router password and settings also helpful for providing security.If we maintain same password and same settings for a long time that may lead to data hacking.It is cost less process very useful for small organizations.

A participant in a usability test completes one of the tasks and then starts to tell a story about a problem the participant had with a similar product. After about 1 minute, the moderator interrupts the participant and says "Your story is interesting, but it is now time to move to the next task. There will be more time at the end of the session for you to continue your story."
This provide an example of which role of a moderator?
1. Recruiter
2. Gracious Host
3. Neutral Observer4. Leader

Answers

Answer:

4. Leader.

Explanation:

A moderator is an individual who presides over a discussion and regulates how the sessions go.

In the case of usability test, a moderator is expected to be in charge of the session, neutral and unbiased with respect to the product being tested, and approachable by the participants.

In this scenario, the moderator interrupts a participant from telling a story about a problem the participant had with a similar product and said "Your story is interesting, but it is now time to move to the next task. There will be more time at the end of the session for you to continue your story."

Hence, the moderator was acting as a leader in his role.

This simply means that, the moderator was being in charge of the session and thus in full control of the pace or timing. As a leader, the moderator ensures a smooth running of the usability test and the participants.

Assign numMatches with the number of elements in userValues that equal matchValue. userValues has NUM_VALS elements. Ex: If userValues is {2, 2, 1, 2} and matchValue is 2 , then numMatches should be 3.

Your code will be tested with the following values:

* matchValue: 2, userValues: {2, 2, 1, 2} (as in the example program above)
* matchValue: 0, userValues: {0, 0, 0, 0}
* matchValue: 50, userValues: {10, 20, 30, 40}

Answers

Answer:

import java.util.Scanner;

public class Main

{

public static void main(String[] args) {

    Scanner input = new Scanner(System.in);

    final int NUM_VALS = 4;

    int[] userValues = new int[NUM_VALS];

    int numMatches = 0;

   

    System.out.print("Enter the match value: ");

    int matchValue = input.nextInt();

   

   

    for (int i=0; i<NUM_VALS; i++){

        System.out.print("Enter the value: ");

        userValues[i] = input.nextInt();

    }

 for (int i=0; i<NUM_VALS; i++){

           if (matchValue == userValues[i]){

              numMatches ++;

        }

    }

    System.out.println("There are " + numMatches + " " + matchValue + "'s in the user values.");

}

}

Explanation:

Initialize the variables

Ask the user to enter the match value

Create a for loop to get the user values

Create another for loop to check if the match value is equal to any of the user values. If it is, increment the number of matches by 1

When the loop is done, print the number of matches and the match value

A chief Information Security Officer (CISO) is performing a BIA for the organization in case of a natural disaster. Which of the following should be at the top of the CISO's list?

a. identify redundant and high-availability systems
b. identify mission-critical applications and systems
c. identify the single point of failure in the systems
d. identify the impact on safety of the property

Answers

Answer:

The correct answer is option (D) Identify the impact on safety of the property

Explanation:

Solution

In every Business Impact Analysis, the first and the most important step is for the CISO is to identify and estimate the impact of the aftereffects on the business and property of an organization that may be occurred from the disaster.

Physical security is very important, but it is not noticed by most organizations. It is important if you do not want anyone to take  away your information or destroy it, in case of natural calamity. the reason could be that, the intruder is  doing it for his personal achievement, financial gain,or seeking revenge or when one is taken unaware and becomes a target. If this security is not maintained properly all the safety measures will not be useful once the attacker gets through by gaining physical access.

Example of property can be software, equipment, facilities, company’s assets.

Compare the memory organization schemes of contiguous memory allocation and paging with respect to the following issues: a. External fragmentation b. Internal fragmentation c. Ability to share code across processes

Answers

Answer:

The comparison is based on memory organization schemes of contiguous memory allocation and paging with respect to External fragmentation, Internal fragmentation and Ability to share code across processes.

Explanation:

Memory organization schemes of contiguous memory allocation:

Contiguous memory allocation schemes suffers from external fragmentation. The reason is that address space is distributed contiguously and the holes and gaps keep growing when the old processes die and new processes are introduced. The variable size partition suffers from external fragmentation however the fixed size partitions do not suffer from external fragmentation. Contiguous memory allocation with variable size partitions does not encounter an internal fragmentation but with fixed size partitions suffers from internal fragmentation. Contiguous memory allocation does not support sharing code across processes. This is because the virtual memory segment of a process is not fragmented into non-contiguous fine grained blocks.

Paging:

Paging does not encounter external fragmentation as pages are of the fixed or equal size. So this reduces external fragmentation. However paging suffers from internal fragmentation. This is because a process can request more space or it can request for a less space. When page is allocated to the such a process that page is no longer utilized.This results in internal fragmentation because of the wastage of space even when the page has internal space but cannot be fully utilized. Paging allows to share code across processes.

Use an Excel function to find: Note: No need to use Excel TABLES to answer these questions! 6. The average viewer rating of all shows watched. 7. Out of all of the shows watched, what is the earliest airing year

Answers

Answer:

Use the average function for viewer ratings

Use the min function for the earliest airing year

Explanation:

The average function gives the minimum value in a set of data

The min function gives the lowest value in a set of data

Discussion Question 10: A bank in California has 13 branches spread throughout northern California , each with its own minicomputer where its data are stored. Another bank has ten branches spread throughout California , with the data being stored on a mainframe in San Francisco . Which system do you think is more vulnerable to unauthorized access

Answers

Answer:

The system that will be more prone to attack or vulnerability is the  bank that has ten branches spread throughout California with the data being stored on a mainframe in San Francisco.

Explanation:

Solution

If the databases are not shared by all the branches throughout the network, they could not be hacked or accessed easily. but when the systems are in a network and share databases or resources,then these could be more vulnerable  to unauthorized persons or individuals.

The data been stored on a mainframe in San Francisco that is a centralized access by 10 branches of another bank. what this implies is that networking is involved or used to share data.

With this example, the chances of vulnerability or attacks increases from the following :

Accounts payable could be disturbed by changing cash in payment false.Entering incorrect data into the system. such transactions can be altered, deleted by unauthorized persons.Transaction fraud like hacking, masquerading are very common in a networked system.

The system that will be more prone to attack or vulnerability is:

The bank with data being stored on a mainframe in San Francisco.

What is Database?

This refers to the data management where information is stored for easy retrieval and use.

With this in mind, we can see that if a bank in California has 13 different branches and stores data differently and another bank has 10 branches in California with data stored in a mainframe in San Francisco.

Hence, the more vulnerable system would be the mainframe in San Francisco because it is a shared system.

Read more about databases here:

https://brainly.com/question/5707732

Complete the method, isPerfectSquare(). The method takes in a positive integer, n. It returns a boolean that is true if n is a perfect square, false otherwise. A perfect square is an integer whose square root is also an integer. You may assume that n is a positive integer.
Hint: find the square root of n, cast it to an int value, then square it and compare this square to n.
Starter code:-
public class Square {
public static boolean isPerfectSquare(int n) {
//TODO: complete this method
}
}

Answers

Answer:

public class Square {    public static boolean isPerfectSquare(int n){        int sqrt_n = (int) Math.sqrt(n);        if(sqrt_n * sqrt_n == n ){            return true;        }else{            return false;        }    } }

Explanation:

Firstly, use sqrt method from Math package to calculate the square root of input n (Line 3). Cast the result to integer and assign it to sqrt_n variable.

Next, square the sqrt_n and check if it is equal to input n (Line 4). If so, return true, if not, return false (Line 4-8).

Typical T1 voice lines have 24 channels with each capable of carrying 1 telephone conversation with "8-bit" quantization and 8,000 samples/second. If we apply this to storing a voice clip on disk, how much storage (in bits) does a 10 second clip take. 64,000 bits 640,000 bits 6,400,000 64.000.000 bits

Answers

Answer:

B. 640,000 bits

Explanation:

From the given question,

The voice line has 24 channels with each having the capacity for 1 telephone conversation with the size of 8 bits and 8, 000 samples/second.

Thus for a 10 seconds clip, the rate = 8 000 × 10

                                                           = 80 000

The rate is 80 000 samples/second.

The size of the storage in bits = rate ×8 bits

                                                  = 80 000 × 8

                                                  = 640 000 bits

Therefore, the size of the storage required for storing the video clip on disk is 640 000 bits.

What is an optimal Hup?man code for the following set of frequencies, based on the first 8 Fibonacci numbers? a:1 b:1 c:2 d:3 e:5 f:8 g:13 h:21 Can you generalize your answer to find the optimal code when the frequencies are the first n Fibonacci numbers?

Answers

Answer:

Optimal Huffman code is an encoding algorithm which encodes different symbols using priority queuing

Explanation:

To explain how the Optimal Huffman code works we draw the Huffman tree for the set of symbols and the leaves of the tree are symbols

Note; the right and left moves on the tree starting from the root of the tree to the leaves contain 1 and 1

Also each letter in the tree has a code word from the the root to each letter and the code is called ; Huffman codes.

h : 0

g : 10

f  : 110

e : 1110

d : 11110

c : 111110

b : 1111110

a : 11111110

attached is the Huffman tree

Most programming languages provide loop statements that help users iteratively process code. In Coral you can write loops that handle many situations. What is the logic behind using a loop statement

Answers

Answer:

Explanation:

When programming loop statements are essential as they allow you to repeat a certain action various times without having to rewrite the same code over and over again for the number of times you want it to repeat. This drastically simplifies the code and saves on computer memory. Loop statements are written so that the same code repeats itself until a pre-set condition is met.

What do webmasters call websites that are created primarily for Adsense monetization? A: MFA B: PDA C: WBA D: GPR

Answers

Answer: The answer is A: MFA

You are working for a small company that has developed an operating system for PCs that is faster and more stable than Microsoft’s Windows operating system. What strategies might your company pursue to unseat Windows and establish its own operating system as the dominant technical standard in the industry?

Answers

Answer:

The company could license its technology to Microsoft to exploit Microsoft's size and market

Explanation:

Licencing the technology to Microsoft would take advantage of Microsoft's size and power in the industry. When the technology is widely adopted people will be more willing to switch to the small companies operating system as it will be similar to what the customers are already using.

Write a program whose input is a character and a string, and whose output indicates the number of times the character appears in the string. You may assume that the string does not contain spaces and will always contain less than 50 characters.Ex: If the input is:n Mondaythe output is:1Ex: If the input is:z TodayisMondaythe output is:0Ex: If the input is:n It'ssunnytodaythe output is:2Case matters.Ex: If the input is:n Nobodythe output is:0n is different than N.C++ Code:#include #include int main(void) {/* Type your code here. */return 0;}

Answers

Answer:

Here is the C++ program:

#include <iostream> // to include input output functions

using namespace std; // to identify objects like cin cout

int counter(string userString, char character) { //function counter

   int count = 0;   // counts the no of times a character appears in the string

   for (int i=0;i<userString.length();i++)  

// loop to move through the string to find the occurrence of the character

       if (userString[i] == character) //if characters is found in the string

           count++;   //counts the occurrence of the character in the string

   return count; }   //returns the no of times character occurs in the string

int main() { //start of the main() function body

   string s; // stores the string entered by the user

   cout<<"Enter a string: "; //prompts user to enter the string

   cin>>s; //reads the string from user

   char ch; //stores the character entered by the user

   cout<<"Enter a character: "; //prompts user to enter a character

   cin>>ch; //reads the character from user

   cout << counter(s, ch) << endl; }  

//calls counter function to find the number of times a character occurs in the //string

Explanation:

The counter function works as following:

It has a count variable which stores the number of occurrences of a character in the userString.

It uses a for loop which loops through the entire string.

It has i position variable which starts with the first character of the string and checks if the first character of userString matches with the required character.

If it matches the character then count variable counts the first occurrence of the character and in the userString and is incremented to 1.

If the character does not match with the first character of the userString then the loops keeps traversing through the userString until the end of the userString is reached which is specified by the length() function which returns the length of the string.

After the loop ends the return count statement is used to return the number of occurrences of the character in the userString.

The main() function prompts the user to enter a string and a character. It then calls counter() function passing string s and character ch arguments to it in order to get the number of times ch appears in s.

The output is attached in a screenshot.

Write a program that prompts the user to enter integers in the range 1 to 50 and counts the occurrences of each integer. The program should also prompt the user for the number of integers that will be entered. As an example, if the user enters 10 integers (10, 20, 10, 30, 40, 49, 20, 10, 25, 10), the program output would be:

Answers

Answer:

import java.util.Scanner; public class Main {    public static void main(String[] args) {        int num[] = new int[51];        Scanner input = new Scanner(System.in);        System.out.print("Number of input: ");        int limit = input.nextInt();        for(int i=0; i < limit; i++){            System.out.print("Input a number (1-50): ");            int k = input.nextInt();            num[k]++;        }        for(int j=1; j < 51; j++){            if(num[j] > 0){                System.out.println("Number of occurrence of " + j + ": " + num[j]);            }        }    } }

Explanation:

The solution is written in Java.

Firstly, create an integer array with size 51. The array will have 51 items with initial value 0 each (Line 5).

Create a Scanner object and get user entry the number of input (Line 6-7).

Use the input number as the limit to control the number of the for loop iteration to repeatedly get integer input from user (Line 9-13). Whenever user input an integer, use that integer, k, as the index to address the corresponding items in the array and increment it by one (LINE 11-12).

At last, create another for loop to iterate through each item in the array and check if there is any item with value above zero (this means with occurrence at least one). If so, print the item value as number of occurrence (Line 14-17).

A deliberate, politically or religiously motivated attack against data compilations, computer programs, and/or information systems which is intended to disrupt and/or deny service or acquire information which disrupts the social, physical, or political infrastructure of a target. This is known as:

a. cyberterrorism.
b. salami technique.
c. cyberstalking.
d. cyberbullying.

Answers

Answer:

a. cyberterrorism.

Explanation:

Cyberterrorism can be defined as an illegal attack against the information stored in a data based done so as to intimidate a target. This is done to coerce a  target to action or to frustrate and destabilize a target.

In this act, the data compilations or information systems is deliberately attacked to disrupt the psychology of a target. It is one of the major tactics used in politics to frustrate the effort of a political system by an opposition.

Create short names for the terms below based on the naming conventions rules.

a. Authors
b. Publishers
c. Customers

Answers

Answer:

a. Authors

Answer: ATR

b. Publishers

Answer: PLR

c. Customers

Answer: CTR

Explanation:

The abbreviation or short name for these terms should be easy to understand and recognize and should describe the terms adequately. These short names should describe the amount of information  which may be derived about the above terms.

Some abbreviation rules that are applied for the giving short names to above mentioned terms/entities are as following:

First letter of the term should not be skipped. In all the three terms A is the first letter of Authors, P is of Publishers and C is the first letter of Customers so these should not be skipped while creating short names for these terms. Eliminating vowels: All the non leading vowels are eliminated from right to left.

In Authors, u and o are eliminated.

In Publishers u, i , e are eliminated.

In Customers, u, o and e are eliminated.

There is no need to use hyphens, dash, slash or other special characters when giving short names to these terms.The short names are not repeatable for another term. This means only one abbreviation for each term. Eliminate one of any double consonants.Put first letter of the term in first location and last in last location of the term. Just as in Author, A and R are placed at 1st and last position of the short name hence ATR.

You can create a graphic from either an image or a string using different initializers True or false?

Answers

Answer:

It is obviously True

Explanation:

hope it helps .

Which of the following best describes a proxy firewall? A. It sends traffic through another host. B. It acts as a gateway for requests arriving from the client. C. It checks only the IP and protocol. D. It is typically run on the host system.

Answers

The answer would be B, for your computer is considered a client instead of server.

Use list indexing to print the last element of the list literal value.
Note: Do not store the list value into a temporary variable. The goal is to practice indexing a list literal, to show that it is possible.
Given:
print(["Not me", "Nor me", "Print me!"])
Do in python

Answers

Answer:

print(["Not me", "Nor me", "Print me!"][2])

Explanation:

Given

print(["Not me", "Nor me", "Print me!"])

Required

Print last element of the list literal value

The implication of this question is to print "Print me!"

We start by analysing the given list.

The given list has 3 elements

But because indexing of a list starts from 0, the index of the last element will be 3 - 1 = 2

So, to print the last element, we simply direct the print statement to the last index.

This is done as follows

print(["Not me", "Nor me", "Print me!"][2])

The [2] shows that only the literal at the last index will be printed.

This means that the string "Print me!" will be printed.

The code can also be rewritten as follows

mylist = ["Not me", "Nor me", "Print me!"]

print(mylist[2])

Here, the first line stores the list in a variable.

The second line prints the last element of the list literal value which is "Print me!"

divide the input array into thirds (rather than halves), recursively sort each third, and finally combine the results using a three-way Merge subroutine. What is the running time of this algorithm as a function of the length n of the input array, ignoring constant factors and lower-order terms

Answers

Answer:

The answer is "nlogn".

Explanation:

The time complexity can only shift to 3 with the last instance.  For the 2nd case, they need one parallel. However, 2 parallels are needed to sort with splitting into 3-way frames. It decreases the number of passes even after breaking the collection in 3 by increasing contrast. So, the time complexity remains the same but the log is divided into 3 bits.  The complexity of time is:   [tex]T(n)=3T(\frac{n}{3})+ O(n) = O(nlogn)_3.[/tex]

A company recently installed fingerprint scanners at all entrances to increase the facility’s security. The scanners were installed on Monday morning, and by the end of the week it was determined that 1.5% of valid users were denied entry. Which of the following measurements do these users fall under?
a. frr
b. far
c. cer
d. sla

Answers

Answer:

a. frr

Explanation:

False rejection rate is the rate which measure the incorrect rejection of access in the system. The scanners have rejected the access of 1.5% valid users. The entry was denied to the valid users which is considered as an attempt to unauthorized access to the scanner.

What is the address of the last memory location, if the starting address of the EPROM is 80000H ?

Answers

Answer:

ans is in exaplation

Explanation:

The processor can usually address a memory space that is much larger than the memory space covered by an individual memory chip.

In order to splice a memory device into the address space of the processor, decoding is necessary.

For example, the 8088 issues 20-bit addresses for a total of 1MB of memory address space.

However, the BIOS on a 2716 EPROM has only 2KB of memory and 11 address pins.

A decoder can be used to decode the additional 9 address pins and allow the EPROM to be placed in any 2KB section of the 1MB address space

Design and implement a program (name it GradeReport) that uses a switch statement to print out a message that reflect the student grade on a test. The messages are as follows:

For a grade of 100 or higher, the message is ("That grade is a perfect score. Well done.")
For a grade 90 to 99, the message is ("That grade is well above average. Excellent work.")
For a grade 80 to 89, the message is ("That grade is above average. Nice job.")
For a grade 70 to 79, the message is ("That grade is average work.")
For a grade 60 to 69, the message is ("That grade is not good, you should seek help!")
For a grade below 60, the message is ("That grade is not passing.")

Answers

Answer:

#include <iostream>

using namespace std;

int main()

{

   int grade;

   

   cout << "Enter student's grade: ";

   cin >> grade;

   

   switch (grade)  

       {

       case 100 ... 1000:

           cout << "That grade is a perfect score. Well done.";

           break;

       case 90 ... 99:

           cout << "That grade is well above average. Excellent work.";  

           break;

       case 80 ... 89:

           cout << "That grade is above average. Nice job.";  

           break;

       case 70 ... 79:

           cout << "That grade is average work.";  

           break;

       case 60 ... 69:

           cout << "That grade is not good, you should seek help!";  

           break;

       default:

           cout << "That grade is not passing.";

           break;

       }

   return 0;

}

Explanation:

*The code is in C++

First, ask the user to enter a grade

Then, check the grade to print the correct message. Since you need to check the ranges using switch statement, you need to write the minimum value, maximum value and three dots between them. For example, 70 ... 79 corresponds the range between 70 and 79 both inclusive.

Finally, print the appropriate message for each range.

Note: I assumed the maximum grade is 1000, which should more than enough for a maximum grade value, for the first case.

Sarah's Texas location has a server that is starting to give her trouble. It is needing to be restarted frequently and seems to be very slow. The server is on the replacement schedule and has just overheated, stopped and will not restart. What plan should direct the recovery for this single server

Answers

Answer:

Hello your question lacks the required options

A) Business impact analysis plan

B) Business continuity plan

C) Disaster recovery plan

D) Recovery point plan

answer : Disaster recovery plan ( c )

Explanation:

The Disaster recovery  plan is a comprehensive creation of a system/set procedures of recovery of the IT infrastructure from potential threats of a company. especially when the interruption is caused by a disaster, accident or an emergency.

The shutting down of the sever due to overheating and not able to restart for Business is an interruption in a critical business process and the plan to direct the recovery for this single server should be the " Disaster recovery plan"

a person who is always and constantly online

Answers

Answer:

These individuals are called "netizens" or "nethead"

Can be called 'netizen' or 'nethead' though there is no specific word created for such people.

Write VHDL code for a RAM that has 16 locations each 32 bits wide. There will be a chipselect (CS) input that activates the chip. Another input to the circuit is an R/W which determines if the operation is a read or a write to the chip. The address input to the chip is a vector. The input and output would also be a vector(s) that should send and receive the data, depending on the address input to the chip.

Answers

Answer:

Hello your question lacks some parts attached is the complete question and the solution is written in the explanation

Explanation:

VHDL CODE::::::

VHDL Code for RAM Design:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity RAM_32Bits is

port (

Clk: in std_logic;

CS: in std_logic;

RW: in std_logic;

Address: in std_logic_vector(3 downto 0);

Data_In: in std_logic_vector(31downto 0);

Data_Out: out std_logic_vector(31downto 0);

)

end entity RAM_32Bits;

architecture RAM_32 of RAM_32Bits is

// Declare Memory Array

type RAM is array (3 downto 0) of std_logic_vector(31 downto 0);

signal mem_array: ram;

// Signal Declaration

signal read_addr: std_logic_vector (3 downto 0);

begin

process (Clk)

begin

if (Clk’event and Clk=’1’) then

if (CS=’1’ and RW=’1’) then

ram(conv_integer(Address)) <= Data_In;

endif;

if (CS=’1’ and RW=’0’) then

read_addr <= Address;

endif;

else

read_addr <= read_addr;

endif;

endprocess

Data_Out <= ram[conv_integer(read_addr)];

end architecture RAM_32;

A contracting company recently completed its period of performance on a government contract and would like to destroy all information associated with contract performance. Which of the following is the best NEXT step for the company to take?
A. Consult data disposition policies in the contract
B. Use a pulper or pulverizer for data destruction
C. Retain the data for a period of no more than one year.
D. Burn hard copies containing PII or PHI

Answers

Answer:

A. Consult data disposition policies in the contract.

Explanation:

The disposition of data should be carefully handled. The data associated with government project should be handled with care as it may include sensitive information. To destroy the data the company should refer the agreement and see if there is any notes included regarding the data disposition policy. The course of action to destroy the data should be according to the agreement.

Other Questions
To celebrate his soccer team's last game of the season, Grayson is making 4 batches ofchocolate chunk brownies. If Grayson's recipe calls for 500 grams of sugar per batch, howmany kilograms of sugar should he buy? Which of the following is not one of the policies and procedures that make up an internal control system? Multiple Choice Protect assets. Ensure reliable accounting. Guarantee a return to investors. Uphold company policies. Promote efficient operations. The lines that travel from west to east and that are highlighted in yellow on this map are __________. A. time zone lines B. lines of latitude C. date lines D. lines of longitude Select the correct answer.Where does the story take place?. in a stableB. on a village streetC. near a swimming poolD.on the beach Which three of the following are associated with the Shang dynasty in China? A) the Great Bath at Mohenjo Daro B) oracle bones C) the Mandate of Heaven D) bronze vessels E) pictographs Product or Service Costing influences: Group of answer choices production managers making manufacturing decisions. all of the other four answers are true. marketing managers making pricing decisions. finance managers making investment decisions. human resource managers making salary decisions. anyone get this geom? How many roots do u thinn Identify the correct statements about ribosomes. Prokaryotes have 70S ribosomes, while Eukaryotes have 90S ribosomes Prokaryotes and Eukaryotes both have ribosomes Prokaryotes and Eukaryotes have the same kinds and sizes of ribosomes The function of a ribosome is to make protein for the cell NutritionCalc Plus uses the equations from the National Academy of Medicine to calculate the Estimated Energy Requirement (EER) for each profile you enter. Use the equation below to calculate the EER for a 22-year-old male college student who is 5'10", weighs 180 pounds, and has a low physical activity level. For men, ages 19 and older: EER = 662 - (9.53 AGE) + PA (15.91 WT + 539 HT). In this equation, AGE is counted in years, PA is the appropriate physical activity factor (see the chart below), WT is weight in kilograms, and HT is height in meters.A. 2962 kcalB. 4088 kcalC. 2856 kcalD. 2713 kcal 6+{5+[2+(8+20)]} we are supposed to compute!!! I have no idea how! Hi can you help me with this question On Tuesday Jack bought four boxes. On Wednesday half of all the boxes that he had were destroyed. Write an expression for how many boxes Jack has now.A. 12b+4 B. 12+4b C. 4b12b D. b+42/2 Match the description to the term or author.autobiographyFrancis Baconcharacter sketches1. the first English essayist2. a famous example of hyperbole3. authored The Federalist Papers4. the life story of an individual5. a written attempt to express an idea6. vignettesfictionthe novelessay7. A True Relation8. narrative prose9. life compared to an insect, the ephemera10. a genre of fiction11. authored Abraham Lincoln's biographythe Paul Bunyan storiesAddison and Steelefirst book written in AmericaBenjamin FranklinCarl SandburgAlexander Hamilton and JamesMadisonbiographyPatrick Henry's famous speech12. two Englishmen who popularized the essay13. the story of one's own life14. "Give Me Liberty or Give Me Death" Which of the following expressions is equal to -3X2-27? The accounting department prepares a bank reconciliation at the end of each month. The following Table Dashboard is provided to assist in our reconciliation for the month of November.Bank Balance is $15000 at 31th Oct.. Bank Balance is $9700 at 15th November. Bank Balance is $16028 at 30th November.Book Balance is $15000 at 31th Oct. Book Balance is $9100 at 15th November. Book Balance is $16127 at 30th November.Cash interest received on Bank Balance: Augugst $31, September $24, October $22 November $37.Total Deposits in Transit November 30: $250Outstanding Checks at Nov.30: Check#1203 : $100 Check#1278 : $78.November Bank Fees: Check Printing Fees: $35, Service Fees: $15, Wire Transfer Fees: $14.1. Determine the company's (a) bank balance and (b) book balance on November 30 before the bank reconciliation.2. What is the amount of cash interest received in the month of November?3. Which of the bank fees is the largest of those charged to the company in November? 36. What is the formula of pentaphosphorus decoxide?A)P5010B)PO 10C)POD)P10 05 Chepi is an ecologist who studies the change in the narwhal population of the Arctic ocean over time. Sheobserved that the population loses 5.6% of its size every 2.8 months. The population of narwhals can bemodeled by a function, N, which depends on the amount of time, t (in months).When Chepi began the study, she observed that there were 89,000 narwhals in the Arctic ocean.Write a function that models the population of the narwhals t months since the beginning of Chepi's study. Addressing is an added feature on the Internet, and is not required for proper operation of the Internet, as packet switching is the principle communication feature. Group of answer choices True False Find the value : 10a^2b^0 for a=3, b=8